首页 > TAG信息列表 > ahb2reg

UVM RAL Adapter

UVM Register Model Adapter 使用 UVM 寄存器模型,我们进行设计寄存器访问, 例如通过调用 RAL 方法写入设计寄存器或从设计寄存器读取。最后,这些事务必须放在设计总线上,这将由 RAL 组件适配器(Adapter)完成。 RAL 适配器充当 RAL 模型和接口之间的转换器。它将 RAL 方法的事务转换为