首页 > TAG信息列表 > VL29

【FPGA学习笔记】VL29 信号发生器

题目描述: 请编写一个信号发生器模块,根据波形选择信号wave_choise发出相应的波形:wave_choice=0时,发出方波信号;wave_choice=1时,发出锯齿波信号;wave_choice=2时,发出三角波信号。        模块的接口信号图如下                模块的时序图如下:     请使用Veri