首页 > TAG信息列表 > Intf

UVM tb top

UVM tb architecture TestBench 顶部是模块,它连接 DUT 和 Verification 环境组件。 典型的 Testbench_top 包含: DUT instance interface instance run_test() method virtual interface set config_db clock and reset generation logic wave dump logic module tbench_top;