首页 > TAG信息列表 > Icarus

同为博客,不同风格 ——Hexo另类搭建

一 、通过云开发平台快速创建初始化应用 1.创建相关应用模版请参考链接: Hexo博客框架—轻量、一令部署 2.完成创建后就可以在github中查看到新增的Hexo仓库 二 、 本地编写《赛博朋克风格》个人博客 1.将应用模版克隆到本地 ● 首先假定你已经安装了Git、node,没有安装请移步nod

The fastest Verilog/SystemVerilog simulator!

Verilator is the fastest Verilog/SystemVerilog simulator. Verilator is invoked with parameters similar to GCC or Synopsys's VCS. It "Verilates" the specified Verilog or SystemVerilog code by reading it, performing lint checks, and optional

Verilog开发环境搭建

作者 QQ群:852283276 微信:arm80x86 微信公众号:青儿创客基地 B站:主页 https://space.bilibili.com/208826118 参考 Icarus Verilog for Windows Icarus Verilog 教程 在Windows 10下配置和使用Icarus Verilog (iverilog)和GTKWave mshr-h/vscode-verilog-hdl-support Eclipse

Hexo博客因图标失效导致加载过慢(icarus主题)

导致问题原由 最近也不知到主题版本太老还是咋回是,我的Hexo博客的小图标突然就失 效,因为最近事情比较多,也没去管它,大体的美观不受影响,今天打开我 的博客发现访问速度超慢。当时首先考虑是网络的问题,于是打开本地预 览,结果还是很慢,经过一番百度,找到了许多原因,像默认使用Google字 体

搭建自己的技术博客系列(六)酷炫主题icarus常用配置整合版,快速搞定博客首页

搭建自己的技术博客系列(六)酷炫主题icarus常用配置整合版,快速搞定博客首页40/100发布文章a724888 _config.xml 这里首先要知道在 Hexo 中有两份主要的配置文件,其名称都是 _config.yml,它们均是用于站点配置使用的。其中,一份位于站点根目录下(比如我的:D:\h2pl.github.io_config.yml),主要