首页 > TAG信息列表 > DVP

Camera DVP接口

PCLK:pixel clock ,像素时钟,每个时钟对应一个像素数据; HSYNC:horizonal synchronization,行同步信号 VSYNC:vertical synchronization,帧同步信号; DATA:像素数据,视频数据,具体位宽要看ISP是否支持; XCLK:或者MCLK,ISP芯片输出给驱动sensor的时钟;   DVP的时序图FV为帧同步信号,LV为行同步信