VIVADO(4)
作者:互联网
矮人看戏何曾见,都是随人说长短
--------------赵翼
Directive:
opt_design (Logic optimization) 逻辑优化
power_opt_design (Power optimization) 功耗优化
place_design (Placement) 布局
phys_opt_design (Physical synthese) 物理综合 优化
route_design (Routing) 布线
write_bitstream (Generate a Bitstream)
Implementation strategy
-place_design
-post_place_opt
-route_design
- preserve
-delay
-max_delay
-min_delay
-phys_opt_design
标签:opt,phys,route,delay,VIVADO,design,place 来源: https://www.cnblogs.com/alke-95/p/13069234.html