其他分享
首页 > 其他分享> > 强化版按键消抖Verilog实现

强化版按键消抖Verilog实现

作者:互联网

介绍:按键的物理结构导致了会有抖动现象的出现,判断按键是否真正按下,需要把抖动的部分滤波。根据经验可知,抖动一般在20ms内,所以常规的消抖方法是从变化沿出现时刻开始,延时20ms后判断按键的状态。这种方法适用范围不广,因为精度不高(如下图,会判断出错)。

 

 本次设计通过状态机的设计提高了按键消抖的性能,具体思路如图:

 

标签:判断,抖动,20ms,消抖,状态机,Verilog,按键
来源: https://www.cnblogs.com/fbur/p/16358496.html