其他分享
首页 > 其他分享> > AXI4-Stream/AXI4-lite,SPI,I2C,AMBA标准接口

AXI4-Stream/AXI4-lite,SPI,I2C,AMBA标准接口

作者:互联网

AXI4-Lite

是AXI4接口的子集,专用于和元件内的控制寄存器进行通信(有地址)。
此接口规模较小,对设计和验证方面的要求更少。

AXI4-Stream

Stream,n.流(无地址)
作为一个标准接口,用于连接希望交换数据的元件,将产生数据的主设备和一个接收数据的从设备相连。

SPI

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

AMBA标准接口

1. AMBA概述

在这里插入图片描述

速率 AXI > AHB > APB

在这里插入图片描述
只有AXI支持读写并行,现在处理器访问内存,DMA都用AXI了。

2、三种总线对比

在这里插入图片描述

3、AHB

在这里插入图片描述

标签:Stream,SPI,标准接口,AXI4,AXI,AMBA
来源: https://blog.csdn.net/qq_40800500/article/details/117772218