其他分享
首页 > 其他分享> > Verilog往文件中写入数据

Verilog往文件中写入数据

作者:互联网

1.声明文件句柄

integer handle;

2.打开文件

handle = $fopen("data.txt");

3.往文件写数据

$fdisplay(handle,"%d",rand_num);

4.关闭文件

$fclose(handle);

标签:fdisplay,文件,handle,rand,句柄,写入,Verilog,fopen
来源: https://www.cnblogs.com/csjt/p/15783720.html