其他分享
首页 > 其他分享> > Quartus II 18.xx 创建新工程

Quartus II 18.xx 创建新工程

作者:互联网

Quartus II 18.xx 创建新工程

本节以Quartus II 18.0 为例介绍如何在QuatusII下创建一个新的工程,其它版本如 Quartus II 18.XX,Quartus II 19.XX,Quartus II 20.XX,基本一致,可以参照本节步骤执行。

  1. 启动Quartus II 18.0 lite Edition,启动后的界面如图1所示:

%title插图%num

图1

  1. 在新建、打开项目向导区,点击New Project Wizard 按钮,如图2红框标识:

%title插图%num

图2

注:图2左下方绿框设置 只有在Quartus II 新打开的时候出现,工程打开后就会关闭,如果选择”Don’t show this screen again”,在以后新建,打开工程将不在出现。

也可以如图3, 主菜单 点击 File->New Project Wizard,将会跳出图3界面。

%title插图%num

图3

图3界面中介绍了,后续将会选择或设置的各项内容。如:

  1. 工程名称和工程目录
  2. 顶层设计实体的名称
  3. 工程文件及库
  4. 要开发的目标器件家族及具体器件
  5. EDA(electronic design automation)工具的各项设定。

后面我们会一步一步介绍上面5个步骤的设定。

点击 Next ,新出现的对话框如图4,

%title插图%num

图 4

  1. 设置工程路径,工程名称,顶层设计实体名称,
    1. 在图5中设定项目目录,如 C:\learning_course(可在C盘中新建目录learning_course
    2. 项目名称如fadd1
    3. 顶层设计实体的名称:fadd1
    4. 点击Next
  2. 在跳出的对话框中选择Empty Project,如图5:点击Next,跳出的对话框如图6,

%title插图%num

图5

%title插图%num

图6

  1. 添加工程文件,将工程需要的文件添加到工程中
    1. 如果有已经编辑好的文件,点击红框所示…,  选择文件,点击Add 按钮添加,再点击Next
    2. 由于目前没有设计文件,直接点击next

%title插图%num

图7

%title插图%num

图8

  1. 选择器件

根据FII-PRA006 /010学习板,选项如图8所示

Device family–>Cyclone 10 Lp,  Package –>TQFP, Pin count 144, Core speed grade–> 8

在Available devices中选择,

如果使用FII-PRA 006选择10cl006YE144C8G。

如果使用FII-PRA 010,则选10CL010YE1448G。

点击 Next,跳出对话框如图9

%title插图%num

图9

  1. 设置EDA工具,在Simulation 栏中选择
    1. 仿真工具名称: Modelsim-Altera,
    2. 格式:Verilog HDL。还有其它选项如VHDL,System Verilog ,由于这里我们使用Verilog HDL,因此选择Verilog HDL
    3. 点击next, 跳出界面如图10
  2. 概述:在图10中对以上设置内容进行概述, 点击Next, 跳出界面如图11

%title插图%num

图10

  1. 生成的工程开法界面如图11,

%title插图%num

图11

至此创建新工程结束,

标签:工程,18,Quartus,Next,II,点击,如图
来源: https://www.cnblogs.com/c6c6c6/p/15074879.html