其他分享
首页 > 其他分享> > 同步信号和clock边沿同时出现的问题

同步信号和clock边沿同时出现的问题

作者:互联网

clock是时钟信号,sig是关于clock的同步信号,波形完全一致。

分如下两种情形,阻塞和非阻塞赋值

always @(clock)
 sig = ~sig
@(clock)

sig <= ~sig
initial begin
    @(clock);  //line1
    $display("1===%0t",$time);
    @(sig);     //line2
    $display("2======%0t",$time);
    @(sig);
    $display("3======%0t",$time);
    $finish;
  end

如果是非阻塞赋值,line1和line2同一时间触发;如果是阻塞赋值,line2在line1的下一个边沿触发

https://blog.csdn.net/tgz949494/article/details/79388454?utm_source=blogkpcl11

标签:同步,0t,clock,line2,line1,sig,边沿,time
来源: https://www.cnblogs.com/liutang2010/p/14944998.html