其他分享
首页 > 其他分享> > 矩阵键盘模块学习

矩阵键盘模块学习

作者:互联网

矩阵键盘模块学习2021-06-13 16:22:19 星期日

通过原理图可以看出4X4矩阵键盘是由8个IO口控制
线反法原理:
1.赋P1=0x0f,第一次扫描测试列
2.赋P1=0xf0,第二次扫描测试行
3.==>KeyValue,即按键的位置

计算器按键部分代码:

#include<stdio.h>
	
typedef unsigned char u8;      //对数据类型进行声明定义
typedef unsigned int u16;

sbit LSA=P2^2; 			 //74HC138译码器数码管位选
sbit LSB=P2^3;
sbit LSC=P2^4;

#define GPIO_KEY P1
#define GPIO_DIG P0

void KeyDown();				//按键检测函数
void Show_number();			//数码管显示函数
void Delay(u8 xus);			//延时函数,us

u16 KeyValue;        //用来存放读取到的键值
u8 code smgduan[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07, 0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71,0x40};  //显示0~F

void main()
{
	while(1)
	{
		KeyDown();
		Show_number();
	}
}
void KeyDown(void)//检测有按键按下并读取键值
{
    u16 a=0;
    GPIO_KEY=0x0f;
    if(GPIO_KEY!=0x0f)//读取按键是否按下
    {
        Delay(1000);//延时10ms进行消抖
        if(GPIO_KEY!=0x0f)//再次检测键盘是否按下
        {   
            //测试列
            GPIO_KEY=0x0f;
            switch(GPIO_KEY)//行列扫描法
            {
                case(0X07): KeyValue=0;break;
                case(0X0b): KeyValue=1;break;
                case(0X0d): KeyValue=2;break;
                case(0X0e): KeyValue=3;break;
            }
            //测试行
            GPIO_KEY=0xf0;
            switch(GPIO_KEY)
            {
         	   case(0X70): KeyValue=KeyValue;break;
               case(0Xb0):KeyValue=KeyValue+4;break;
               case(0Xd0):KeyValue=KeyValue+8;break;
               case(0Xe0):KeyValue=KeyValue+12;break;
            }
            while((a<50)&&(GPIO_KEY!=0xf0))  //检测按键松手检测
            {
                Delay(1000);
                a++;
            }
		}
}

void Show_number()    //扫描显示动态数码管
{
	if(KeyValue>9)
	{
		LSA=0; LSB=0; LSC=0; GPIO_DIG=smgduan[KeyValue%10];Delay(50);GPIO_DIG=0x00;
		LSA=1; LSB=0; LSC=0; GPIO_DIG=smgduan[Keyvalue/10];Delay(50);GPIO_DIG=0x00;
	}
	else
	{
		LSA=0; LSB=0; LSC=0; GPIO_DIG=smgduan[KeyValue];Delay(50);GPIO_DIG=0x00;
	}
}

void Delay(u8 xus)
{
	while(--xus);
}

小白学习笔记

标签:case,break,KEY,void,矩阵,键盘,KeyValue,模块,GPIO
来源: https://blog.csdn.net/weixin_47579878/article/details/117880794