其他分享
首页 > 其他分享> > Xilinx FPGA RAM

Xilinx FPGA RAM

作者:互联网

作者

QQ群:852283276
微信:arm80x86
微信公众号:青儿创客基地
B站:主页 https://space.bilibili.com/208826118

参考

FPGA block RAM和distributed RAM区别
【FPGA】关于Xilinx芯片中Block RAM和Distributed RAM 的区别
浅谈XILINX FPGA CLB单元 汇总 (CLB、LUT、存储单元、Distributed RAM、移位寄存器、多路复用器、进位逻辑(Carry Logic))

  1. 物理上看,Bram是fpga中定制的ram资源,Dram就是用逻辑单元拼出来的。
  2. 较大的存储应用,建议用Bram;零星的小ram,一般就用Dram。但这只是个一般原则,具体的使用得看整个设计中资源的冗余度和性能要求
  3. Dram可以是纯组合逻辑,即给出地址马上出数据,也可以加上register变成有时钟的ram。而Bram一定是有时钟的。

标签:ram,FPGA,RAM,Distributed,Dram,Xilinx,Bram
来源: https://blog.csdn.net/Zhu_Zhu_2009/article/details/113448765