其他分享
首页 > 其他分享> > 玩转zynq7010——FPGA点亮三色灯

玩转zynq7010——FPGA点亮三色灯

作者:互联网

本篇文章分享米尔zynq7010开发板试用体验。
zynq7010
前期主要以开发Z-TURN的PL部分为主,以期望了解该芯片的逻辑架构和系统总线,以及所有外设,后面在开始PS部分的开发,闲话少说,先看整个7z010的系统框图,所有开发目前基于ISE14.6来设置,在软件中可以看到PL和PS部分的总线连接以及PS和PL的外设资源,根据米尔提供的原理图的,找到控制RGB LED的控制管脚,并编写一个RGB LED闪烁的veriloG代码,成功让RGB LED闪烁起来。

在研究这个板子的时候,发现该开发板是可以开发NANDFLASH的,只是米尔没有贴上去,如过后期有时间,准备自己焊接一个镁光的NANDFLASH,做数据存储。
zynq7010
zynq7010
zynq7010
zynq7010

标签:PS,zynq7010,LED,FPGA,米尔,RGB,色灯,PL
来源: https://blog.51cto.com/14441798/2444380