其他分享
首页 > 其他分享> > UVM RAL:Introduction to UVM Register Model

UVM RAL:Introduction to UVM Register Model

作者:互联网

UVM 寄存器层提供了一个标准的基类库,使用户能够实现面向对象的模型来访问 DUT 寄存器和存储器。 UVM 寄存器层也称为 UVM 寄存器抽象层 (UVM RAL)。

对于访问寄存器,我们不能在没有 RAL 的情况下进行吗?

我们可以。但如上所述,RAL 提供了一组基类和方法以及一组规则,从而简化了寄存器访问所需的工作。

UVM RAL 的优势

  1. 为读取和写入 DUT 寄存器提供高级抽象。即,可以使用其名称访问寄存器
  2. UVM 提供了一个寄存器测试序列库(sequence library),其中包含预定义的测试用例(test case),这些可用于验证寄存器和存储器
  3. 寄存器层类支持前门和后门访问
  4. 可以独立于物理总线接口访问设计寄存器。即通过调用读/写方法
  5. 可以从多个并发线程访问寄存器模型。它在内部序列化对寄存器的访问。
  6. 可重用性,RAL包可以在其他环境中直接重用
  7. 统一性,定义了一套关于寄存器访问的规则和方法,可以在整个行业中遵循
  8. 自动 RAL 模型生成、工具或开源脚本可用于 RAL 模型生成

 

下面的框图显示了在验证测试平台中使用 RAL。

下图显示了 RAL 与测试台的详细组件和连接。

UVM RAL 组件和方法后续介绍。

 

标签:Introduction,RAL,基类,访问,UVM,寄存器,模型
来源: https://www.cnblogs.com/fuqiangblog/p/16684804.html