其他分享
首页 > 其他分享> > UVM TestBench

UVM TestBench

作者:互联网

UVM 测试平台是通过扩展 uvm 类构建的。

UVM 测试平台层次结构

下面是典型的 UVM 测试平台层次图。

下面解释每个测试平台元素的作用,

UVM test

test 是最顶层的类。test负责:

UVM Environment

Env or environment: env是一个容器组件,用于对 agents 和 scoreboard 等更高级别的组件进行分组。

UVM Agent

UVM agent 将特定于接口或协议的 uvm_components 分组。

例如:对与 BFM(总线功能模型)关联的组件进行分组。

agent的组成部分是,

UVM SEQUENCE ITEM

sequence_tem 定义了 agent 产生的 pin(引脚) 级活动(通过驱动程序驱动到 DUT)或者必须由agent监视的信号(DUT 信号上监视器监视的活动的占位符)。

UVM DRIVER

负责将 sequence_item 内的数据包级数据驱动到引脚级(到 DUT)。

UVM SEQUENCE

定义需要生成的数据项的序列,并从驱动程序发送/接收。

UVM SEQUENCER

负责将在sequence中生成的数据包(sequence_item)路由到驱动程序或反之。

UVM MONITOR

观察接口信号上的引脚级别活动,并将其转换为数据包级别并发送到 scoreboard 等组件的。

UVM Scoreboard

从监视器接收数据项并与预期值进行比较。

期望值可以从参考模型生成。

UVM 测试台框图:

具有单个agent的 VM TestBench 框图。

 

具有多个agent和每个agent的具有多个实例的 UVM TestBench 框图

 

标签:sequence,引脚,agent,测试台,UVM,TestBench,组件
来源: https://www.cnblogs.com/fuqiangblog/p/16683548.html