其他分享
首页 > 其他分享> > Coverpoint:覆盖点

Coverpoint:覆盖点

作者:互联网

Coverpoint 和与coverpoint 关联的bin 完成所有工作。覆盖点的语法如下图所示。 “covergroup g1”在 (posedge clk) 采样。 “oc”是覆盖点名称(或标签)。这是仿真日志引用此覆盖点的名称。 “oc”涵盖了 2 位变量“offset”。

在此示例中,看不到任何与变量“offset”的覆盖点“oc”关联的“bins”。由于没有存储覆盖结果的bins,仿真器将自动创建这些bins。在此示例中,将创建四个 bin,因为“offset”是一个 2 位向量。如果“offest”是 3 位向量,则将有 8 个 bin,依此类推。

 

仿真日志显示有四个自动生成的 bin,称为“bin auto[0]”……“bin auto[3]”。这些bin中的每一个都涵盖 1 个“offset”值。例如,auto[0] bin 涵盖“offset == 0”。换句话说,如果“offset==0”已经被覆盖,那么 auto[0] 将被认为是被覆盖的。由于覆盖点“oc”的所有四个 bin 都已被覆盖,因此覆盖点“oc”被视为 100% 覆盖。

iff 构造中的表达式指定了一个可选条件,该条件禁用该覆盖点的覆盖。如果该条件在采样点的计算结果为假,则忽略覆盖点:

在上面的例子中,只有当“reset”的值为0时,覆盖点oc才会被覆盖。

 

标签:bin,覆盖,auto,Coverpoint,oc,offset,bins
来源: https://www.cnblogs.com/fuqiangblog/p/16648563.html