其他分享
首页 > 其他分享> > 第五周(复习周距离考试还有两个周)收藏网站的用处

第五周(复习周距离考试还有两个周)收藏网站的用处

作者:互联网

还有十几天考试要开始突击了,减少对于电脑手机以及电子产品的使用回归课本先把课本过一遍。

键盘回来了可以在宿舍进行写博客和网上的题了,rk61三模很便宜一百一可以连蓝牙,键盘灯很花哨几百种灯效,比较满意,就是感觉很虚没有太多的打击感节奏感,但是大键有些虚尤其是空格和删除键还有弹簧音。

宿舍可以拿键盘进行的工作1.写博客  2.牛客练题  3.HDLBits练题  4.突然发现菜鸟有在线编译挺好的上面有很多方便的工具准备好好看看,每天拿出二十分钟写一下收藏的网站的用处

为什么遇事不决量子力学,因为量子指出,世界运行并不确定,人们最多只能预测各种结果出现概率,一个物体可以同时处于两个相互矛盾的状态中,量子计算是直接利用量子力学的现象操控数据的过程。

在b站找到几个FPGA大佬开源了几个比较有意思的项目,已经加到百度网盘看看其中有一个数字时钟写的比我的功能多喝复杂一些学习看看。还有matlab GUI相关的设计到时候matlab课大作业说不定改改就可以用。

发现大一学的专业课好多可以连在一起,比如说一个傅立叶吧高数,复变,大物都会学习为以后的专业课打基础像什么信号什么的都要用。所以说大学基础课程不管用得上用不上都要好好学,其实很有意思的,现在开始期复习(预习)开始凿书本发现那些人好有才,看的相对有意思相对短视频来说,应该是最近学习的欲望起来了想要看书学习了,挺好

 

一、vscode的环境搭建太折腾人了还是把vscode,vs2010,pycharm都搭建下来吧

      目前搭完了,没有那么复杂但是还没开始用不知道能不能弄。

 

 

二、MIPS指令(老乔FPGA涉及22条MIPS指令的五级流水线的CPU设计)

    碰巧刷到的关于Verilog搭建一个五级流水线的CPU就很好奇就学学看

 

fpga项目      Github 上有哪些优秀的 Verilog/FPGA 项目? - 知乎 (zhihu.com)

三、收藏网站的用处

  1、菜鸟

菜鸟里有很多编程的入门知识点(纯知识点),还有在线编译的网页可以当草稿,在菜鸟工具里有很多工具和很多转接到网站。是一个很好的入门网站,和一个工具网站。在入门时花两三个小时看一看知识点。

  2、CSDN

里面有很多文章是很多人聚集的社区但是商业化严重风气有点不好已经不纯粹了,在收藏夹里收藏了有关fpga,ai,树莓派等知识。

  3、Github

是一个开源网站,适合在入门后在里面找一些源码进行项目学习。

  4、HDLBits

一个verilog刷题网站,从基础到进阶很有用,已经开始刷了打算把它刷完顺便练习英文文献的阅读。

  5、codeforces

国外的刷题网站关于算法的,全网最大的刷题网站好像里面的比赛很有含金量。

  6、leetcode(力扣)

一个算法刷题网站,国内最有名的。上面还有各大公司的面试题,可以分点练习按照分类练习。

  7、TI

电赛板子提供商,里面有板子相关的资料和板子使用的教程和培训。

  8、全国大学生电子设计大赛培训官网

发布电赛相关的通知和电赛相关培训。

  9、博客园

有很多优秀的开发者,高水平文章很多。

noticeable(ESP32,DE-10 COS)

  10、野火文档

野火教材比较细很细很基础也很多,正点原子种类很多类型很多多看看

  11、芯来科技

关于RISC-V和SOC相关的科技公司官网

  12.Yarpiz

matlab自学网站很多开源用法,分为以下几项:

1)Metaheuristics(元启发式算法)

2)Machine Learning(机器学习)

3)Multiobjective Optimization(多目标优化)

4)Fuzzy Systems(模糊系统)

5)Applications(应用)

6)Tutorials(视频教程)

上面总结了很多matlab算法链接史上最强的MATLAB自学网站,你收藏了吗??? - 知乎 (zhihu.com)

  13、国产立创EDA

国产PCB设计软件

  14、opencores

网站简介,这个是全世界最大的FPGA开源IP核网站。

  15、创芯网

提供半导体电子技术开发应用资讯的网络传媒,资讯网站。有fpga相关资料

  16、牛客网

刷题找工作的网站

  17、电子发烧友社区、与非网、电子工程专辑、

电子工程师社区

  18、极术社区

社区提供智能计算领域的资讯、知识及教育培训、会议活动

  19、STC

STC是常见单片机品牌之一(已知单片机:STM,STC,MSP,ESP)

  20、intel

intel官网有Intel相关的文档对于fpga和其他相关知识可以在进阶时候,找不当相关资料时候阅读文档。

  21、FPGA讨论区--野火论坛

一个野火相关论坛,可以看论坛上的帖子。

  22、正点原子openEdv开源电子网

正点原子论坛,正点原子相关资料。

  23、硬创社

面向国内电子工程师的专业级技术资源共享平台,集硬件电路设计、PCB设计解决方案、源代码、pcb打样和SMT生产于一体,专为帮助国内的电子工程师更好的创新、创造、创业。

 

 

四、HDLBits练习题心得

给定一个 8 位输入向量 [7:0],反转其位排序。(下面是答案但是目前出来第三种也是最朴素的一种没有报错,其他两个报错了得再看看。原因是复制答案时候前面的代码也复制上了,我太傻逼了,所以代码没问题有问题的是我,挺有意思的好好看看这个题的写法,自己也写一写)

generate这个还不熟悉在第三周的时候遇见过感觉挺有用。

 

module top_module(
input [7:0] in,
output [7:0] out
);

generate
genvar i;
for(i = 0; i <= 7; i = i + 1)begin:conv
assign out[i] = in[7-i];
end
endgenerate

//second way
/*
integer i;
always@(*)begin
for(i = 0; i <= 7; i = i + 1)begin
out[i] = in[7-i];
end
end
*/

//third way
//assign out = {in[0], in[1], in[2], in[3], in[4], in[5], in[6], in[7]};

endmodule

感觉HDLBit有bug明明和答案一模一样却还是会报错,也可能是输入法的原因。

标签:很多,复习,FPGA,网站,收藏,开源,相关,用处,刷题
来源: https://www.cnblogs.com/gyxyyds/p/16364623.html