其他分享
首页 > 其他分享> > SystemVerilog学习笔记3——接口、采样、测试、调试

SystemVerilog学习笔记3——接口、采样、测试、调试

作者:互联网

目录


接口

接口的优势:

接口的定义和使用:

采样和数据驱动

竞争问题

如何避免采样的竞争问题?

接口中的clocking

测试的开始和结束

若仿真开始时在命令窗口敲入命令“run 0”,assign赋值语句和initial语句会开始执行,always时序/组合语句块不会执行:因为assign和intial在0时刻会执行一次,always必须等到信号变化才执行

结束方式二——program隐式结束:SV推出program将验证与设计进行有效隔离后,SV也会将每个program块作为一个独立的测试,如果testbench中只有一个program,则会在执行完该program最后一个initial后自动结束仿真。如果testbench中有多个program,那么需要等待所有program中最后一个initial才能结束仿真;要求仿真自动结束的前提是所有program的initial块都应在一定时间内完成

结束方式三——program显示结束:在目标program内置入系统函数$exit()要求该program强行结束,仿真器仍会等待其它program执行完毕再结束仿真

为验证环境建立独立的测试盒子

调试方法

库窗口

库(library)是编译的产物,在没有介绍软件之前,硬件(module,interface,program)都会编译到库中,如果不指定编译库则会被编译进入默认库中。从容纳的类型来看,库既可容纳硬件类型,也可容纳软件类型,例如类和方法,也包括包(package);

仿真窗口

仿真窗口(sim window)代表目前正在进行的仿真结构。一般在验证环境中,应该包含硬件测试的结构组件和待测设计(DUT)

过程窗口

过程窗口(process window)代表整个仿真在某一时间点上所有过程语句块(initial, always, assign)的状态

查看信号和波形

打印消息

设置断点和查看变量

设置断点是软件的方法,在软件执行中,通过设置断点(breakpoint)可查看在程序在执行到断点处(程序暂时停止)时的变量数值,而设置断点就要求verifier对程序的执行顺序足够了解;

标签:采样,仿真,program,SystemVerilog,信号,interface,断点,调试
来源: https://blog.csdn.net/weixin_41979380/article/details/122499098