其他分享
首页 > 其他分享> > 波形上显示枚举变量

波形上显示枚举变量

作者:互联网

1.波形上显示枚举变量字符

1.1应用场景

需要将枚举类型的变量拉到interface上,但又不想在interface上重新定义enum;

1.2实现方法

(1) 在输出debug interface的uvc里面, 用[127:0]变量存储枚举类型的变量, 如下列黄色部分;

(2) 在interface上用ASCII码输出;

1.3使用示例

`timescale 1ns/1ps

module tb_top;

     typedef enum {WRITE, READ} cmd_e;

     cmd_e ca,cb;

     reg ra, rb;

     reg[127:0] sa,sb;

     //注:一个字符占一个byte,reg类型变量的宽度取决于名字的最大长度;

     initial begin

               ca=WRITE;

               cb=READ;

               ra=ca;

               rb=cb;

               sa=ca.name;

               sb=cb.name;

               #10ns;

               $finish;

    end

 

     initial begin

        $fsdbDumpfile(“reg_clk.fsdb”);

        $fsdbDumpvars;

      end

endmodule

 

 

标签:变量,波形,cb,ca,枚举,interface,reg
来源: https://www.cnblogs.com/csjt/p/15815072.html