其他分享
首页 > 其他分享> > iverilog安装-从下载到安装

iverilog安装-从下载到安装

作者:互联网

从github下载编译安装并测试iverilog

源码下载路径:

git clone https://github.com/steveicarus/iverilog

安装依赖:

readline 4.2
termcap
gperf 3.0
bison and flex
g++
Make
autoconf 2.53
可以根据安装过程提示再逐步安装对应依赖;

编译安装过程:

$ cd iverilog 
$ ./autoconf.sh
$ ./configure  --prefix=/my/special/directory
$ make  
$ sudo make install

测试iverilog

 $git clone git://github.com/steveicarus/ivtest.git

需要csh环境执行,如无执行:

$sudo apt install csh
$csh
%./regress

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

标签:github,steveicarus,csh,iverilog,git,安装,下载
来源: https://blog.csdn.net/limanjihe/article/details/122514229