其他分享
首页 > 其他分享> > uvm_topdown_phase.svh

uvm_topdown_phase.svh

作者:互联网

1、traverse

三个参数

case(state)

 virtual function void execute(uvm_component comp,
                                          uvm_phase phase);
    // reseed this process for random stability
    process proc = process::self();
    proc.srandom(uvm_create_random_seed(phase.get_type_name(), comp.get_full_name()));

    comp.m_current_phase = phase;
    exec_func(comp,phase);
  endfunction

问题:这里面的exec_func其实是调用的是build_phase的东西,为啥呢?
最开始传入的是top,所以是top->down的方式调用子component的build_phase。

小结:
对于uvm_bottomup_phase.svh,就是将line93-96放在前面

标签:UVM,comp,topdown,component,uvm,phase,build
来源: https://www.cnblogs.com/xuqing125/p/15791969.html