其他分享
首页 > 其他分享> > fsdbDumpvars的一些options

fsdbDumpvars的一些options

作者:互联网

"+mda"

Dump all memory and MDA signals in all scopes specified in fsdbDumpvars option or the entire design if no scope is specified. This option is covered by the "+all" option and is effective for SystemVerilog's MDAs only. For VCS users, the VCS option "+memcbk" may be needed while compiling the desing

"+packedmad"

Only dump the packed signals in the design (without array and memory types).

Options

Unpacked 1D

T a[]

Unpacked 2D

T a[][]

Packed 1D

T []a

Packed 2D

T [][]a

None     Yes
"+packedmda"yesyes
"+mda"yesyesyesyes

 

标签:fsdbDumpvars,option,mda,design,1D,specified,一些,options
来源: https://blog.csdn.net/yuexiangallan/article/details/121760768