其他分享
首页 > 其他分享> > verilog多路数据选择器实现

verilog多路数据选择器实现

作者:互联网

实验内容: 有四路数据的输入,通过开关来选择输出哪一路数据,同时使用 LED 指示 灯和数码管来显示输出的数据内容和路数。 用 Verilog 语言设计一个四路数据选择器程序; A,B,C,D 为四路数据输入, sel 为选择端,数码管 DP1 指示选择路数, A 的时候显示 0 , B 的时候显示 1 , C 的时候显示 2 , D 的时候显示 3 , dataout 同时输出该数据。 程序代码: module duolu(A,B,C,D,sel,dataout,ds,seg7); 
input [1:0] A,B,C,D; 
input[1:0] sel;
output [1:0] dataout; 
output ds; 
output [6:0] seg7; 
reg [1:0]dataout;
reg [6:0] seg7;
assign ds=0;
always @(sel)
     case(sel)
     2'b00:
           begin 
              dataout<=A;
              seg7=7'b0111111;
           end
     2'b01:
           begin
              dataout<=B;
              seg7=7'b0000110;
           end
     2'b10:
           begin
              dataout<=C;
              seg7=7'b1011011;
           end
     2'b11:
           begin
              dataout<=D;
              seg7=7'b1001111;
           end
     default:
            begin
               dataout<=A;
               seg7=7'b0111111;
            end
     endcase
endmodule

标签:begin,多路,end,seg7,dataout,verilog,output,sel,选择器
来源: https://blog.csdn.net/m0_53024476/article/details/121454237