其他分享
首页 > 其他分享> > 篇7-UVM ERROR达到一定数量时结束仿真

篇7-UVM ERROR达到一定数量时结束仿真

作者:互联网

1.UVM_ERROR达到一定数量结束仿真

1.1相关函数

(1) set_report_max_quit_count

(2) set_max_quit_count

 

(3) get_max_quit_count

1.2命令行设置

其中,上面的”NO”表示UVM_MAX_QUIT_COUNT的设置值6不能被后面的设置语句重载;

 

标签:仿真,quit,set,max,count,UVM,ERROR
来源: https://www.cnblogs.com/csjt/p/15572334.html