其他分享
首页 > 其他分享> > 10,verilog移位操作

10,verilog移位操作

作者:互联网

博主的微信公众号:FPGA动力联盟

博主的个人微信:fpga_start

博客原文链接:verilog移位操作

Verilog中的移位操作有两类:逻辑移位和算术移位。

  1. 逻辑右移(>>):1个操作数向右移位,产生的空位用0填充;
  2. 逻辑左移(<<):1个操作数向左移位,产生的空位用0填充;
  3. 算术右移(>>>):1个操作数向右移位。如果是无符号数,则产生的空位用0填充;有符号数则用其符号位填充;
  4. 算数左移(<<<):1个操作数向左移位,产生的空位用0填充;

另外,需要注意不管是什么移位操作,移位的位数必须是常数值。对于移位的位数为变量的移位操作,需要一个叫做“筒形移位器的”结构来实现,涉及到了时序逻辑。

移位操作的例子如下:

表达式

结果

说明

8’b1000_1101 >> 8’d2

8’b0010_0011

无符号数逻辑右移

8’b1000_1101 << 8’d4

8’b1101_0000

无符号数逻辑左移

8’b1000_1101 << x,x为变量

语法错误

不可综合

8’b1000_1101 >>> 8’d2

8’b0010_0011

无符号数算术右移

8’sb1000_1101 >>> 8’d2

8’sb1110_0011

有符号数算术左移

8’b1000_1101 << 8’d4

8’b1101_0000

无符号数逻辑左移

8’sb1000_1101 << 8’d4

8’sb1101_0000

有符号数逻辑左移

另外,操作数左移n位相当于乘以2的n次幂,操作数右移n位相当于除以2的n次幂,这种特性在实际应用中非常有用!

参考文献:

1,verilog传奇——从电路出发的HDL代码设计

2,verilog编程艺术

标签:右移,10,符号,左移,verilog,1101,b1000,移位
来源: https://blog.csdn.net/m0_58064525/article/details/120690444