其他分享
首页 > 其他分享> > DFT 视频学习笔记: 第一 introduction

DFT 视频学习笔记: 第一 introduction

作者:互联网

第一  introduction to DFT

一,功能测试与结构测试的区别

二,IC设计流程

      1,RTL设计 ->   2,功能验证 -> 3,逻辑设计,逻辑验证->  4,DFT设计-> 5,逻辑综合,物理版图 -> 6,流片

三,工具对应的过程

  1. 逻辑综合
  2. scan insertion
  3. test compression ;logic insertion
  1. Timing sign off
  1. PR TOOL
  1. atpg tool
  1. scan insersion
  1. atpg tool
  1. MBIST JTAG
  1. DRC/LVS
  1. PR TOOL

 

标签:PR,tessent,introduction,DFT,TOOL,笔记,insertion
来源: https://www.cnblogs.com/bingtanglulu/p/15342766.html