其他分享
首页 > 其他分享> > 关于Quartus工程名与模块名问题

关于Quartus工程名与模块名问题

作者:互联网

工程文件名与模块.V文件的名可以不相同,但是顶层模块的模块的模块名一定要与工程名相同。可以通过如下方法将.V文件中的模块设置为顶层模块
在这里插入图片描述

标签:文件,顶层,工程,相同,Quartus,模块
来源: https://blog.csdn.net/MRcccccccc/article/details/119908147