其他分享
首页 > 其他分享> > Verilog HDL基本语句

Verilog HDL基本语句

作者:互联网

1.过程语句

initial语句

标签:语句,begin,end,always,Verilog,HDL,reg,赋值
来源: https://www.cnblogs.com/doincli/p/15168512.html