其他分享
首页 > 其他分享> > Dump FSDB脚本

Dump FSDB脚本

作者:互联网

initial begin 

  $fsdbDumpfile(“dump.fsdb”) ;                      //fsdbDumpfile - 指定FSDB文件名
    $fsdbDumpvars (level,start_module) ;          //要记录的信号,level=0表示记录所有          
    $dumpvars (2, top. u1);                              // Dump实例top. u1及其下一层的信号
    $fsdbDumpMDA()                                       //fsdb dump波形时会记录二维数组2D array signal的值,便于在verdi中debug查memory内部信号。
    $fsdbDumpSingle                     //Dump指定的信号
    $fsdbDumpvariable                  //Dump指定的VHDL变量
    $fsdbSwitchDumpFile(“<new FSDB name>”)  //将dumping切换到另一个FSDB文件
    $fsdbAutoSwitchDumpfile(<file size>, “<FSDB name>”,< number of file>)            //限制文件大小并在数据量过大时自动创建新的FSDB文件
    $fsdbDumpMem(<reg name>, [<start addr>, [<size>]])
    $fsdbDumpoff;                                         //停止记录
    $fsdbDumpon;                                         //重新开始记录
    $fsdbDumplimit();                                    //限制VCD文件的大小(以字节为单位)
    $fsdbDumpall;                                         //记录所有指定的信号值

end

 

标签:脚本,dump,Dump,记录,指定,FSDB,信号
来源: https://www.cnblogs.com/pure-z/p/14980352.html